Hyderabad Jobs |
Banglore Jobs |
Chennai Jobs |
Delhi Jobs |
Ahmedabad Jobs |
Mumbai Jobs |
Pune Jobs |
Vijayawada Jobs |
Gurgaon Jobs |
Noida Jobs |
Hyderabad Jobs |
Banglore Jobs |
Chennai Jobs |
Delhi Jobs |
Ahmedabad Jobs |
Mumbai Jobs |
Pune Jobs |
Vijayawada Jobs |
Gurgaon Jobs |
Noida Jobs |
Oil & Gas Jobs |
Banking Jobs |
Construction Jobs |
Top Management Jobs |
IT - Software Jobs |
Medical Healthcare Jobs |
Purchase / Logistics Jobs |
Sales |
Ajax Jobs |
Designing Jobs |
ASP .NET Jobs |
Java Jobs |
MySQL Jobs |
Sap hr Jobs |
Software Testing Jobs |
Html Jobs |
About Marvell At Marvell, we believe that infrastructure powers progress. That execution is as essential as innovation. That better collaboration builds better technology. Trus...
electrical engineeringapplication engineerscommunication skillssystem integratorssilicon validationtechnical supportfirmware developmentPosition Description: The position is part of SVG Product Engineering team focussed on SW bring-up solutions using Cadence Palladium emulation and FPGA prototyping solution and virtual...
rtl designwhite papersstaff trainingknowledge baseshell scriptingsoc verificationfpga prototypingproduct engineeringperformance analysisThe Cyber Security and Data Protection resource deals with advanced, complex, and ever changing threats which could affect the Syniverse brand and/or business operations. Demonstrates a strong self-ma...
firewallsnetworkingtroubleshootingred hat linuxsecure network architecturetoken ringhp openviewframe relaycyber securitycisco
About Marvell At Marvell, we believe that infrastructure powers progress. That execution is as essential as innovation. That better collaboration builds better technology. Trus...
firmware developmentgitelectrical engineeringarm architectureworking experiencespirequirements engineeringc programmingumlsoccommunication skillsi2cdebuggingteam spiritembedded carmenterprise architectWhat you do at AMD changes everything At AMD, we push the boundaries of what is possible. We believe in changing the world for the better by driving innovation in high-performance co...
digital designcaddraftingmodelingtest plan creationautocaddrawingmixed signalstatements of work sowJob ID: JR0181530 Job Category: Engineering Primary Location: Hyderabad, IN Other Locations: Job Type: Experienced Hire Senior Pre-Silicon Verification Engineer/Lead (SoC Verification) verificationuvmdesignfailure analysissystem verilogcache coherencysoc verificationmemory managementhardware engineeringintellectual propertyproject administrationsocovmoops
Job Type: Experienced Hire IP Logic Design EngineerJob Description Oversees definition, design, verification, and documentation for SoC (System on a Chip) developmen...
logic designasic designcontinuous improvement facilitationdesign flowdiagnosticsbehavioral trainingcustomer supportarchitectural designhigh proficiencytiming analysiscontrollingpower managementgsmfftcadenceJob ID: JR0200675 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire Senior Pre-Si Verification EngineerJob Descript...
logic designcontinuous improvement facilitationuvmbug trackingdesigndesign verificationcomputer sciencedesign flowbehavioral trainingarchitectural designcustomer supportverificationfailure analysisHandles projects of small to moderate scope and small to moderate complexity that are directly tied to the development, implementation or upgrade of technology or products for external customers. Has ...
project management softwarecontinuous improvement facilitationexcel pivotpivot tablesbusiness unitsproblem solvingmicrosoft officestatements of work sowJob ID: JR0199507 Job Category: Engineering Support Primary Location: Bangalore, KA IN Other Locations: Job Type: College Grad Platform Validation and Automation engineer...
technical directionelectrical engineeringscadause caseshmicomputer architecturenetworking solutionsautomationprogrammingplatform architectureplccomponent designdata centerbehavioral trainingPosition:Sr. Engineer Aerospace Must have skills C Python Linux,RTOS programming Micro controller, processors, Device driver DO 178C Requirement ...
test casestest proceduressoftwarecomplianceprocessorsdocumentationBlack Box TestingTest ExecutionDefect TrackingTest EstimationBug TrackingExploratory TestingTest StrategyWeb Testing* Title: Sr Engineer Physical Design About GLOBALFOUNDRIES GLOBALFOUNDRIES is a leading full-service semiconductor foundry providing a unique combination of design, deve...
continuous improvement facilitationic designrtl designrtl codingfield testchip designdesign flowmemory testmixed signalpeople skillstest coverageJob ID: JR0190177 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: India, Hyderabad Job Type: Experienced Hire Graphics Hardware EngineerJob Descr...
atpgbasisdrcglsgraphicsfront endwhite boxrtl codingproblem solvingmachine learningbehavioral trainingformal verificationverbal communicationdesign automationartrtlx86perlintelPosition: Post Silicon Validation Engineer 1. 9 -15 years- experience 2. Hands on experience on post silicon validation of 2 to 3 SoCs/ASICs which went for volume production 3. Proven experience in...
validationdebuggingtest casescustomer relationsroot cause analysisroot causesilicon validationworking experienceiossocldoroottestspythondesignsiliconanalysisethernetintelCompiler Developer Experience : 6 yrs-10 yrs Location : Bangalore Responsibilities : - Research, design, develop, enhance, and implement the different components of machine learning compiler based on ...
computer sciencemachine learningsoftware solutionsworking experiencesystems engineeringinformation systemssoftware developmentsoftware requirements1. Verification engineer - SV, UVM, USB, DDR, PCIE, Ethernet, Axi, MIPI. Any one of the protocols will be added- advantage. - Experience in verification of complex IPs or SoCs.- - Expertise in SoC Ve...
verificationuvmdesignfailure analysistest plan creationcode coveragesoc verificationJob ID: JR0193184 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire Power and Performance (PnP) EngineerJob Description<...
continuous improvement facilitationbusiness unitsproblem solvingpower managementsenior managementcoding experiencedesign engineeringbehavioral trainingJob ID: JR0197213 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire Pre Si Verification LeadJob Description Dev...
computer scienceimage processingpower managementsystem simulationclient developmentworking experiencesystem integratorsbehavioral trainingformal verificationJob ID: JR0197888 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: India, Hyderabad Job Type: Experienced Hire CAD EngineerJob Description autocadcadauto caddraftingdrawingcontinuous improvement facilitationfront endrtl designsoft skillsbusiness unitsproblem solvingcomputer science
Job ID: JR0197590 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire Soft IP Validation EngineeringJob Description validationdebuggingtest casescustomer relationsdesign flowlogic designbehavioral trainingarchitectural designintel
About Marvell At Marvell, we believe that infrastructure powers progress. That execution is as essential as innovation. That better collaboration builds better technology. Trus...
front endapplication supportcloud computingframeworklinuxjavascriptphysical designarm processorrtl designopen sourcejavaformal verificationintellectual propertyhighspeed designfrontend designThe data infrastructure that our customers build has never been more critical to our global economy. It s what s keeping the world connected, businesses running, and information flowing. If you...
electrical engineeringarmopen sourcedftproblem solvingfunctional verificationcorecomputer scienceapplication supporttest equipmentrtlsiliconscanatpgsocrtl designCome create the technology that helps the world act together Nokia is committed to innovation and technology leadership across mobile, fixed and cloud networks. Your career here will have a po...
cyber securitytroubleshootingnetworkingiso 27001data privacytrend analysisdisaster recoverysecurity controlsbid managementvoice of the customercompliancelinuxinternal controlinformation securityROLE & RESPONSIBILITIES
This is senior Technical Manager role working in Post-Si Validation managing a team of expereinced CPU/SoC post-silicon validation team. Candidate should be very well versed with SoC program lifecycle...
automationvalidationactuatorsfunctional testingcontinuous improvement facilitationbusiness unitsrisk managementcomputer sciencecustomer supportintelJob ID: JR******* Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire Pre-Si Validation LeadJob...
root causedata centerstress testmarket sharemusic makingsystem verilogsystem integratorsbehavioral trainingcommunication skillstechnical leadershipelectrical engineeringsocuvmddrovmAbout Marvell At Marvell, we believe that infrastructure powers progress. That execution is as essential as innovation. That better collaboration bui...
scrum mastersystem verilogcloud computingsoftware developmentarm processorcustomer supportdeliveryproblem solvingopen sourceaccountabilityJob ID: JR******* Job Category: Engineering Primary Location: Hyderabad, IN Other Locations: India, Bangalore Job Type: Experienced Hire SOC Physical Verification Le...
internet of thingsnew businesscorporate liaisonbehavioral traininglayout verificationcommunication skillsindustrial automationphysical verificationcustomer requirementsstakeholder managementCome join Intels Client Engineering Group responsible for designing Client SoCs that make up more than half of Intels annual revenue We envision the future of computing and...
drawingautocaddraftingmodelingcadclock tree synthesisstatic timing analysiscontinuous improvement facilitationchip designbusiness unitsphysical designAbout Marvell At Marvell, we believe that infrastructure powers progress. That execution is as essential as innovation. That better collaboration bui...
customer supportopen sourcertl designscrum masterphysical designsoftware developmentfront endarm processorcloud computingaccountabilitydelivery
Job ID: JR*******
Job Category: Engineering
Primary Location: Bangalore, KA IN Other Locations:
Job Type: Experienced Hire Product Development Engineer
Come create the technology that helps the world act together Nokia is committed to innovation and technology leadership across mobile, fixed and cloud networks. You...
consultingcontrollingdocumentationknowledge managementpacsvoice of the customerglobal salessales supportbid preparationworking experiencecustomer experiencetechnology leadershipJob ID: JR******* Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire Senior Design EngineerJob...
autocadcaddrawingmodelingmechanicalfront endlogic designsystem verilogtiming closurertl developmentcost optimizationbehavioral trainingelectrical engineeringoptimization strategiesJob ID: JR******* Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire Dft EngineerJob Description Strong knowledg...
atpgdftscancoresiliconcad toolsrtl designsystem verilogphysical designdesign compilerclient developmentsystem integratorsbehavioral trainingarchitectural designcadsocrtldfxtclipsJob ID: JR******* Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire SoC Design Engg - SDJob Description Physica...
logic designphysical designproblem solvingtiming analysisbehavioral trainingperlidealcolorpythondesigntimingbusinessplanninganalysisschedulereligionscriptingintelJob ID: JR******* Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire SD (Physical Design) EngineerJob Description power flowpower supplytiming closurephysical designsupply managementbehavioral trainingparasitic extractionphysical verificationwritten communication
Job ID: JR******* Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire SoC Validation ManagerJob Description Xeon ...
high performance teamsuse caseroot causestress testrisk managementcustomer supportsenior managementsystem integratorselectrical engineeringsocsecriskcloudipsJob ID: JR******* Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire SoC Design EngineerJob Description Oversees...
drawingautocaddraftingmodelingcadvlsi designdesign flowlogic designtiming closurebehavioral trainingarchitectural designsoclecpnrppavlsicelledgeipsintelOversees definition design verification and documentation for SoC System on a Chip development Determines architecture design logic design and system simulation Defines module interfacesformats for si...
floor planningdrcroutingverificationsystem on a chipcontinuous improvement facilitationdesign flowlogic designpower estimationstructural designWe are looking for Structural Design Engineers with strong RTL2GDSii Skill. Job responsibilities include Logic Synthesis, Floorplanning, Place and Route, Timing Analysis, Convergence, IR/EM analysis, ...
staad probuildingssiterccfoundationdesign flowtiming analysislogic synthesisstructural designbehavioral trainingformal verificationartificial intelligenceNXP SemiconductorsN.V. (NASDAQ: NXPI) enables secure connections for a smarter world, advancing solutions that make lives easier, better, and safer. As the world leader in secure connectivity s...
javalinuxjavascriptframeworkarm processorboard bringupembedded systemsoperating systemsperformance tuningsoftware solutionsc programminglanarmiotconnectivity solutionsNXP SemiconductorsN.V. (NASDAQ: NXPI) enables secure connections for a smarter world, advancing solutions that make lives easier, better, and safer. As the world leader in secure connectivity s...
javalinuxjavascriptframeworkarm processorboard bringupembedded systemsoperating systemsperformance tuningsoftware solutionscommunication skillsc programmingconnectivity solutionsAbout Marvell At Marvell, we believe that infrastructure powers progress. That execution is as essential as innovation. That better collaboration builds better technology. Trus...
technical supportarm assemblyfirmware developmentsilicon validationpreventive maintenancesolid stateisodocumentationdisk drivesystem integratorscommunication skills© 2019 Hireejobs All Rights Reserved